ニュースの詳細

TSMC's Technological Advancements and Production Plans

07/05/2024

Last week at its Technology Symposium, TSMC made significant announcements regarding its future production plans and technological advancements. The company revealed that it is set to commence high volume production on its N2 process utilizing Gate-All-Around (GAA) transistors later this year, marking a pivotal step forward in its semiconductor manufacturing capabilities. Additionally, TSMC disclosed that production on its N2P process incorporating both GAA and backside power delivery (BSD) is scheduled to commence in 2026, showcasing their commitment to pushing the boundaries of innovation in the industry.
 

2nm process

In comparison, Intel announced its forthcoming 18A process, featuring both GAA and BSD components, expected to enter production next year. Intel aims to reclaim its position as a leader in process technology within the industry with the introduction of this cutting-edge technology, highlighting the competitive landscape within the semiconductor market.

On the other hand, Samsung made strides in GAA technology by initiating the production of GAA Integrated Circuits (ICs) on 3nm nodes two years ago. However, despite their early adoption, reports emerged suggesting challenges with yields, underscoring the complexities inherent in advancing semiconductor processes. Samsung's roadmap indicates that the integration of BSD is earmarked for their 2nm process, initially planned for production in February of this year, demonstrating a strategic approach to technology evolution.

Furthermore, Samsung announced a significant foundry contract for their 2nm process with Preferred Networks (PFN), a Japanese AI startup founded ten years ago. This collaboration highlights the importance of partnerships in driving innovation and fostering synergies between established industry players and emerging technology companies.

In conclusion, the recent announcements from TSMC, Intel, and Samsung underscore the rapid pace of technological advancements in the semiconductor industry and the strategic vision of key players to maintain their competitiveness and drive innovation forward. These developments pave the way for exciting opportunities and breakthroughs in semiconductor manufacturing processes in the years to come.

タグ

クロスニュース

1. 100 ohm Resistor

100 ohm Resistor

2. Holiday Notice: Chinese Mid-Autumn Festival & Nati...

Holiday Notice: Chinese Mid-Autumn Festival & National Day

3. BAE Systems Receives $35 Million to Modernize Micr...

BAE Systems Receives $35 Million to Modernize Microelectronics Centre

4. Alldatasheet

Alldatasheet

5. 8650HD 65GHz 12bit Oscilloscope

8650HD 65GHz 12bit Oscilloscope

6. Infineon Ventures into Trapped Ion Quantum Computi...

Infineon Ventures into Trapped Ion Quantum Computing Processor Manufacturing

7. Germanium Tin Enables Faster Movement of Charge Ca...

Germanium Tin Enables Faster Movement of Charge Carriers than Silicon or Germanium

8. AIMB-723 with AMD Ryzen Embedded 7000 processors

AIMB-723 with AMD Ryzen Embedded 7000 processors

9. DB HiTek obtains license for Weebit Nano's ReRAM t...

DB HiTek obtains license for Weebit Nano's ReRAM technology

10. Neurxcore has introduced a new neural processor

Neurxcore has introduced a new neural processor